CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 交通灯 控制器

搜索资源列表

  1. sybg.rar

    0下载:
  2. 交通灯控制器实验报告------SOC课程设计 一.实验功能 该交通灯控制器,能完成以下功能: ⒈ 显示交通灯的红、黄、绿的指示状态 用L1、L2、L3作为绿、黄、红灯; ⒉ 能实现正常的倒计时功能: 用M2、M1作为南北方向的倒计时显示器,显示时间为红灯55秒,绿灯30秒,黄灯15秒。 ⒊ 能实现特殊状态的功能 (1) 按S1后,能实现特殊状态功能; (2) 显示器M2M1闪烁; (3) 计数器停止计数并保持在原来的状态; (4) 显示红灯状态
  3. 所属分类:行业应用软件

    • 发布日期:
    • 文件大小:43240
    • 提供者:
  1. digitalsystemDesign

    0下载:
  2. 第7章数字系统设计实例 7.1 半整数分频器的设计 7.2 音乐发生器 7.3 2FSK/2PSK信号产生器 7.4 实用多功能电子表 7.5 交通灯控制器 7.6 数字频率计-Chapter 7 Digital System Design Example 7.1-integer dividers designed Music Generator 7.2 7.3 2F SK/2PSK Signal Generator 7.4 Table practical multi-f
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:446705
    • 提供者:李唐
  1. nclight

    0下载:
  2. 利用硬件描述语言VHDL设计交通灯电路,设计一个十字路口交通灯控制器,东西、南北方向有红灯、黄灯、绿灯,持续时间分别为45、5、40秒。-use VHDL design of traffic lights at the circuit, the design of traffic lights at a crossroads controller East and West, North-South direction of a red light, yellow light, green li
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:空气
  1. Digital_system_design_example

    0下载:
  2. 数字系统设计实例.pdf,VHDL语言实现,7.1 半整数分频器的设计7.2 音乐发生器7.3 2FSK/2PSK信号产生器7.4 实用多功能电子表7.5 交通灯控制器 7.6 数字频率计.值得一看。-digital system design examples. Pdf, VHDL, 7.1-integer divider design Music Generator 7.2 7.3 2FSK/2PSK Signal Generator 7.4 Practical multi-functi
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:457718
    • 提供者:王天
  1. C51CrossLight

    0下载:
  2. 1.设计一个交通灯控制器。 2.利用学习机上的发光二极管,设定东、南、西、北4个方向,各3个灯(红、黄、绿)。交通灯控制器正常工作时,南北方向红灯亮3秒,黄灯闪2秒,绿灯亮3秒,以此类推。东西方向绿灯亮3秒,黄灯闪2秒,红灯亮3秒,以此类推。 3.设定两个紧急按钮,一个控制南北灯,一个控制东西灯。当按下相应的紧急键时,其控制方向的交通灯亮绿灯,其他方向的交通灯亮红灯,至自控键松开,恢复正常交通控制。 -1. Design of a traffic light controller.
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:10492
    • 提供者:wangpeng
  1. traffic_control

    0下载:
  2. 设计制作一个用于十字路口的交通灯控制器 有一组绿、黄、红灯用于指挥交通,绿灯、黄灯和红灯的持续时间分别为20秒、5秒和25秒; 当有特殊情况(如消防车、救护车等)时,两个方向均为红灯亮,计时停止,当特殊情况结束后,控制器恢复原状态,继续正常运行-design a crossroads for the traffic signal controller is a group in green, yellow and red lights to direct traffic. green,
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2534
    • 提供者:那锋
  1. jtdcz

    0下载:
  2. 交通灯控制器的程序,程序不是太复杂,我自己编的,没有错误-traffic light controller procedures that are not too complex, I developed, and no mistake
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:894
    • 提供者:djj
  1. trafficlightVHDL

    0下载:
  2. 用VHDL写的交通灯控制器,基本功能齐全,和马路上十字路口的红绿灯模拟得很像的-write VHDL traffic signal controllers and complete basic functions, on the road and the traffic lights crossroads as the very Simulation
  3. 所属分类:交通/航空行业

    • 发布日期:2008-10-13
    • 文件大小:65837
    • 提供者:solarphoebus
  1. IIC

    0下载:
  2. 一个以前开发的实际应用的交通灯控制器程序,使用sst89e58单片机,供参考。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2014-01-16
    • 文件大小:133947
    • 提供者:李可辉
  1. szlj-jtd

    0下载:
  2. 数字电路 交通灯控制器 包含文档
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:332482
    • 提供者:王志成
  1. vhdlb

    0下载:
  2. 交通灯控制器 vhdl程序如下(~高速路和普通路 高速路绿灯亮60S普通路绿亮30S红绿交换时黄灯同亮时5S)
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:3969
    • 提供者:造型
  1. verilog_shili

    0下载:
  2. 计数器 锁存器 12位寄存器 带load,clr等功能的寄存器 双向脚(clocked bidirectional pin) 一个简单的状态机 一个同步状态机 用状态机设计的交通灯控制器 数据接口 一个简单的UART 测试向量(Test Bench)举例: 加法器源程序 相应加法器的测试向量test bench)
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11433
    • 提供者:李进来
  1. trafficwsdklk

    0下载:
  2. 1.设计目的 (1)设计交通灯控制器; (2)学习状态机的设计方法; (3)学习原理图、状态机等多种的设计方法进行混合设计; (4)熟练掌握器件设计输入、编译、仿真和编程的过程。 2.设计内容 位于十字路口的交通灯,在A方向和B方向各有红、黄、绿三盏灯,按所列顺序进行循环,交通灯循环顺序见表1所示。其中1表示灯亮,0表示灯灭。
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:132023
    • 提供者:秦光
  1. 数字电子技术课程设计-交通灯

    0下载:
  2. 摘要:本文介绍了以计算机为核心.利用可编程并行接口芯片8255A的软硬件功能,实现对交通灯控制 关键词: 计算机 可编程并行接日芯片 交通灯 8255A 引言:交通灯是交通安全的关键,已广泛应用于城乡的十字路口,它的有无作为交通安全检查的重要依据,是交通秩序正常进行的有力保障。 一、实验目的 1. 了解交通灯管理的基本工作原理。 2. 熟悉8253计数器/定时器、8259A中断控制器和8255A并行接口的工作方式及应用编程。 3. 掌握多位LED显示的方法。
  3. 所属分类:多媒体

    • 发布日期:2009-06-07
    • 文件大小:372736
    • 提供者:tc489587464
  1. CPLD

    0下载:
  2. 摘要:本文主要介绍以CPLD 芯片进行十字路口的交通灯的设计,用CPLD 作为交通灯控制器的主控芯片,采用VHDL 语言编写控制程序,利用CPLD的可重复编程和在动态系统重构的特性,大大地提高了数字系统设计的灵活性和通用性。 关键词:CPLD;VHDL;交通灯控制器 中图分类号:TP39 Abstract :This paper introduces the electronic-traffic lamp, which is based on the VHDL and is co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:35244
    • 提供者:jimmy
  1. transportlight

    0下载:
  2. 用51单片机做控制器设计一个交通灯系统。该十字路口有两组交通灯(分别管理通道A和通道B两个方向),每一组各有红、黄、绿色指示灯和倒计时数码管显示当前状态和信息。 (1)基本要求 运行中的智能交通系统有以下三种基本情况: 1)正常情况下轮流放行,其中: A通道绿灯30s B通道绿灯15s 每通道从绿灯切换为红灯前,应有5s黄灯时间. 2)A通道、B通道各设置一个行人过街按键。具体功能(以A通道行人过街按为例): 当该按键按下时,A通道显示红灯5s后转为显
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-31
    • 文件大小:2762
    • 提供者:孙昕
  1. PLCexperiment

    0下载:
  2. 可编程控制器独立试验,其中包括交通灯、机械手、舞台灯、电梯、抢答器等各种模拟实验-Programmable Logic Controller independent tests, including traffic lights, mechanical hand, the stage lights, elevators, etc. Answer all kinds of simulation experiments
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:1216512
    • 提供者:陈慧琳
  1. Traffic-lights

    0下载:
  2. 之前做的交通灯课程设计,报告摘要、方案设计、电路设计、工作过程、仿真情况、使用元器件介绍、总结及参考文献完整。并附交通信号灯控制器电路图、交通信号灯控制器电路元件明细表-The traffic lights before the curriculum design, the report summary, program design, circuit design, process simulation, the use of components, the integrity of the
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:917514
    • 提供者:hanqi
  1. uriuiuyietyrwy

    0下载:
  2. 交通灯模拟控制器 是课程设计的一个结果 用了很多届学生 -Traffic Light simulation controller is a result of curriculum design, a lot of sessions with students
  3. 所属分类:assembly language

    • 发布日期:2017-05-02
    • 文件大小:540420
    • 提供者:wuzhihao
  1. jiaotongdeng_fuza

    1下载:
  2. 本文基于FPGA技术的发展和Quartus II开发平台,实现路口交通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the development of FPGA technology and the
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-03-24
    • 文件大小:5611520
    • 提供者:威威谈谈
« 1 2 34 5 6 7 8 9 10 »
搜珍网 www.dssz.com